Towards Sustainable Electronic Design Automation Flow: A Joint Approach Based on Complexity Metrics

Authors

  • Virginie Delalot INNOVA Advanced Technologies
  • Chouki Aktouf INNOVA Advanced Technologies
  • Gilles Fritz INNOVA Advanced Technologies
  • Bastien Gratreaux INNOVA Advanced Technologies
  • Nermine Ali Universite Paris-Saclay, CEA, List
  • Lilia Zaourar Universite Paris-Saclay, CEA, List

Keywords:

EDA, Sustainability, Eco-design

Abstract

This paper addresses sustainability criteria and Electronic Design Automation (EDA) needs. We aim to optimize the operational stages of an EDA Flow and address a series of investigations to reduce carbon footprint. Our main purpose is to optimize the design flow, considering sustainability criteria to reduce the environmental impact of EDA tools. First, metrics correlating sustainability and design project complexity are provided and implemented as part of an EDA design solution, which INNOVA Advanced Technologies proposes. Second, the INNOVA design solution provides job scheduling based on sustainability criteria. Typical case studies are provided in this paper.

References

G. Marinova and A. Bitri, “Challenges and opportunities for semiconductor and electronic design automation industry in post-covid-19 years,” IOP Conference Series: Materials Science and Engineering, vol. 1208, p. 012036, 11 2021.

M. G. Bardon, P. Wuytens, L.-A. Ragnarsson, G. Mirabelli, D. Jang,˚ G. Willems, A. Mallik, A. Spessot, J. Ryckaert, and B. Parvais, “Dtco including sustainability: Power-performance-area-costenvironmental score (ppace) analysis for logic technologies,” in 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020, pp. 41–4.

J. C. Herlin, “Kaupunkimarkkinointi tiktokissa,” 2022.

(2020 - 2023) Ademe-arcep study: assessment of the digital environmental footprint in france in 2020, 2030 and

[Online]. Available: https://en.arcep.fr/uploads/tx gspublication/ press-kit-study-Ademe-Arcep-lot3 march2023.pdf

T. Pirson, T. P. Delhaye, A. G. Pip, G. Le Brun, J.-P. Raskin, and D. Bol, “The Environmental Footprint of IC Production: Review,Analysis, and Lessons From Historical Trends,” IEEE Transactions on Semiconductor Manufacturing, vol. 36, no. 1, pp. 56–67, Feb. 2023, conference Name: IEEE Transactions on Semiconductor Manufacturing. [Online]. Available: https://ieeexplore.ieee.org/document/9979766

B. Li, R. B. Roy, D. Wang, S. Samsi, V. Gadepally, and D. Tiwari, “Toward Sustainable HPC: Carbon Footprint Estimation andEnvironmental Implications of HPC Systems,” in Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, Nov. 2023, pp. 1–15, arXiv:2306.13177 [cs]. [Online]. Available: http://arxiv.org/abs/2306.13177

S. B. Boyd, Life-cycle assessment of semiconductors. University of California, Berkeley, 2009.

F. Taiariol, P. Fea, C. Papuzza, R. Casalino, E. Galbiati, and S. Zappa, “Life cycle assessment of an integrated circuit product,” in Proceedings of the 2001 IEEE International Symposium on Electronics and the Environment. 2001 IEEE ISEE (Cat. No.01CH37190), 2001, pp. 128– 133.

U. Gupta, M. Elgamal, G. Hills, G.-Y. Wei, H.-H. S. Lee, D. Brooks, and C.-J. Wu, “ACT: designing sustainable computer systems with an architectural carbon modeling tool,” in Proceedings of the 49th Annual International Symposium on Computer Architecture, ser. ISCA ’22. New York, NY, USA: Association for Computing Machinery, Jun. 2022, pp. 784–799. [Online]. Available: https://doi.org/10.1145/3470496.3527408

C. Fitzpatrick, J. Walsh, and I. Grout, “Environmentally superior implementation of electronic hardware through modular programmable logic devices & eco design,” in Proceedings of the 2006 IEEE International Symposium on Electronics and the Environment, 2006., 2006, pp. 228– 232.

J. A. Darringer, R. A. Bergamaschi, S. Bhattacharya, D. Brand, A. Herkersdorf, J. K. Morrell, I. I. Nair, P. Sagmeister, and Y. Shin, “Early analysis tools for system-on-a-chip design,” IBM Journal of Research and Development, vol. 46, no. 6, pp. 691–707, 2002.

T. Li, J. Hou, J. Yan, R. Liu, H. Yang, and Z. Sun, “Chiplet heterogeneous integration technology—status and challenges,” Electronics, vol. 9, no. 4, 2020. [Online]. Available: https://www.mdpi.com/2079-9292/9/4/670

International Organization for Standardization (ISO): Geneva, Switzerland, “Iso 14001:2015; environmental management systems

- requirements with guidance for use.” 2015. [Online]. Available: https://www.iso.org/standard/60857.html

F. Bordage. (2019) The environmental footprint of the digital world. [Online]. Available: https://www.greenit.fr/wp-content/uploads/2019/11/GREENIT EENM etude EN accessible.pdf

L. Zaourar, A. Chillet, and J.-M. Philippe, “A-DECA : an Automated Design space Exploration approach for Computing Architectures to develop efficient high-performance many core processors,” in DSD/SEAA 2023 - 26th Euromicro Conference Series on Digital System Design (DSD) and 49th Euromicro Conference Series on Software Engineering and Advanced Applications (SEAA), Durres, Albania, Sep. 2023, pp. 756–763. [Online]. Available: https://cea.hal.science/cea-04224485

Front-end soc integration. [Online]. Available: https://defactotech.com/ products-solutions/soc-integration-at-rtl

[Online]. Available: https://www.innova-advancedtech.com/

Downloads

Published

2024-08-20

How to Cite

Delalot, V., Aktouf, C., Fritz, G., Gratreaux, B., Ali, N., & Zaourar, L. (2024). Towards Sustainable Electronic Design Automation Flow: A Joint Approach Based on Complexity Metrics. WiPiEC Journal - Works in Progress in Embedded Computing Journal, 10(2). Retrieved from https://wipiec.digitalheritage.me/index.php/wipiecjournal/article/view/61